期刊文献+
共找到18篇文章
< 1 >
每页显示 20 50 100
Energy distribution extraction of negative charges responsible for positive bias temperature instability 被引量:1
1
作者 任尚清 杨红 +9 位作者 王文武 唐波 唐兆云 王晓磊 徐昊 罗维春 赵超 闫江 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第7期448-452,共5页
A new method is proposed to extract the energy distribution of negative charges, which results from electron trapping by traps in the gate stack of n MOSFET during positive bias temperature instability(PBTI) stress ... A new method is proposed to extract the energy distribution of negative charges, which results from electron trapping by traps in the gate stack of n MOSFET during positive bias temperature instability(PBTI) stress based on the recovery measurement. In our case, the extracted energy distribution of negative charges shows an obvious dependence on energy,and the energy level of the largest energy density of negative charges is 0.01 eV above the conduction band of silicon. The charge energy distribution below that energy level shows strong dependence on the stress voltage. 展开更多
关键词 positive bias temperature instability high-k/metal gate electron trapping energy distribution
下载PDF
Structure design and film process optimization for metal-gate stress in 20 nm nMOS devices
2
作者 付作振 殷华湘 +3 位作者 马小龙 柴淑敏 高建峰 陈大鹏 《Journal of Semiconductors》 EI CAS CSCD 2013年第6期165-169,共5页
The optimizations to metal gate structure and film process were extensively investigated for great metalgate stress(MGS) in 20 nm high-k/metal-gate-last(HKVMG-last) nMOS devices.The characteristics of advanced MGS... The optimizations to metal gate structure and film process were extensively investigated for great metalgate stress(MGS) in 20 nm high-k/metal-gate-last(HKVMG-last) nMOS devices.The characteristics of advanced MGS technologies on device performances were studied through a process and device simulation by TCAD tools. The metal gate electrode with different stress values(0 to—6 GPa) was implemented in the device simulation along with other traditional process-induced-strain(PIS) technologies like e-SiC and nitride capping layer.The MGS demonstrated a great enhancing effect on channel carriers transporting in the device as device pitch scaling down.In addition,the novel structure for a tilted gate electrode was proposed and relationships between the tilt angle and channel stress were investigated.Also with a new method of fully stressed replacement metal gate(FSRMG) and using plane-shape-HfO to substitute U-shape-HfO,the effect of MGS was improved.For greater film stress in the metal gate,the process conditions for physical vapor deposition(PVD) TiN-x- were optimized.The maximum compressive stress of—6.5 GPa TiN_x was achieved with thinner film and greater RF power as well as about 6 sccm N ratio. 展开更多
关键词 metal gate stress 20 nm CMOS devices high-k/metal gate PVD TiN_x
原文传递
Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process
3
作者 王艳蓉 杨红 +10 位作者 徐昊 王晓磊 罗维春 祁路伟 张淑祥 王文武 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第11期464-467,共4页
A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. ... A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device's performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the depo- sition/annealing (D&A) cycles, the D&A time, and the total annealing time. The results show that the increases of the number of D&A cycles (from 1 to 2) and D&A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D&A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1A and the TTF of PMOS worsen. Moreover, different D&A times and numbers of D&A cycles induce different breakdown mechanisms. 展开更多
关键词 high-k/metal gate time dependent dielectric breakdown multi-deposition multi-annealing
下载PDF
Series resistance effect on time zero dielectrics breakdown characteristics of MOSCAP with ultra-thin EOT high-k/metal gate stacks
4
作者 徐昊 杨红 +9 位作者 王艳蓉 王文武 万光星 任尚清 罗维春 祁路伟 赵超 陈大鹏 刘新宇 叶甜春 《Journal of Semiconductors》 EI CAS CSCD 2016年第5期48-51,共4页
The time zero dielectric breakdown characteristics of MOSCAP with ultra-thin EOT high-k metal gate stacks are studied. The TZDB results show an abnormal area dependence due to the series resistance effect. The series ... The time zero dielectric breakdown characteristics of MOSCAP with ultra-thin EOT high-k metal gate stacks are studied. The TZDB results show an abnormal area dependence due to the series resistance effect. The series resistance components extracted from the Fowler-Nordheim tunneling relation are attributed to the spreading resistance due to the asymmetry electrodes. Based on a series model to eliminate the series resistance effect, an area acceleration dependence is obtained by correcting the TZDB results. The area dependence follows Poisson area scaling rules, which indicates that the mechanism of TZDB is the same as TDDB and could be considered as a trap generation process. 展开更多
关键词 high-k/metal gate stacks ultra-thin EOT TZDB series resistance effect
原文传递
Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process
5
作者 王艳蓉 杨红 +9 位作者 徐昊 罗维春 祁路伟 张淑祥 王文武 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2017年第8期407-410,共4页
In the process of high-k films fabrication, a novel multi deposition multi annealing (MDMA) technique is introduced to replace simple post deposition annealing. The leakage current decreases with the increase of the... In the process of high-k films fabrication, a novel multi deposition multi annealing (MDMA) technique is introduced to replace simple post deposition annealing. The leakage current decreases with the increase of the post deposition annealing (PDA) times. The equivalent oxide thickness (EOT) decreases when the annealing time(s) change from 1 to 2. Furthermore, the characteristics of SILC (stress-induced leakage current) for an ultra-thin SiO2/HfO2 gate dielectric stack are studied systematically. The increase of the PDA time(s) from 1 to 2 can decrease the defect and defect generation rate in the HK layer. However, increasing the PDA times to 4 and 7 may introduce too much oxygen, therefore the type of oxygen vacancy changes. 展开更多
关键词 high-k/metal gate multi deposition multi annealing stress-induced leakage current post deposi-tion annealing
下载PDF
微波退火对高k/金属栅中缺陷的修复 被引量:1
6
作者 陈玫瑰 许鹏 +1 位作者 潘建峰 吴东平 《半导体技术》 CAS CSCD 北大核心 2014年第6期428-433,共6页
研究了微波退火(MWA)对高k/金属栅中缺陷的修复作用。在频率为1和100 kHz下,对所有Mo/HfO2/Si(100)金属-绝缘体-半导体(MIS)结构样品进行C-V特性测试。通过在频率为100 kHz下测量的C-V特性曲线提取出平带电压与电压滞回窗口,从而估算出... 研究了微波退火(MWA)对高k/金属栅中缺陷的修复作用。在频率为1和100 kHz下,对所有Mo/HfO2/Si(100)金属-绝缘体-半导体(MIS)结构样品进行C-V特性测试。通过在频率为100 kHz下测量的C-V特性曲线提取出平带电压与电压滞回窗口,从而估算出高k/金属栅中固定电荷密度和电荷陷阱密度,并用Terman方法计算出快界面态密度。通过研究在频率为1 kHz下测量的C-V特性曲线扭结,定性描述高k/金属栅中的慢界面态密度。结果表明,微波退火后,固定电荷、电荷陷阱、快界面态和慢界面态得到一定程度的修复。此外,和快速热退火相比,在相似的热预算下,微波退火可修复高k/金属栅中更多的固定电荷、慢界面态和电荷陷阱。但对于快界面态的修复,微波退火没有明显的优势。 展开更多
关键词 k/金属栅 微波退火 缺陷修复 C-V测试 MoHfO2Si
下载PDF
Effect of Er ion implantation on the physical and electrical properties of TiN/HfO_2 gate stacks on Si substrate 被引量:1
7
作者 ZHAO Mei LIANG RenRong +1 位作者 WANG Jing XU Jun 《Science China(Physics,Mechanics & Astronomy)》 SCIE EI CAS 2013年第7期1384-1388,共5页
In this paper, we report the fabrication, electrical and physical characteristics of TiN/HfO2/Si MOS capacitors with erbium (Er) ion implantation. It is demonstrated that the fiat band voltage can be reduced by 0.4 ... In this paper, we report the fabrication, electrical and physical characteristics of TiN/HfO2/Si MOS capacitors with erbium (Er) ion implantation. It is demonstrated that the fiat band voltage can be reduced by 0.4 V due to the formation of Er oxide. Moreover, it is observed that the equivalent oxide thickness is thinned down by 0.5 nm because the thickness of interfacial layer is significantly reduced, which is thought to be attributed to the strong binding capability of the implanted Er atoms with oxygen atoms. In addition, cross-sectional transmission electron microscopy experiment shows that the HfO2 layer with Er ion implantation is still amorphous after annealing at a high temperature. This Er ion implantation technique has the potential to be implemented as a band edge metal gate solution for NMOS without a capping layer, and may also satisfy the demand of the EOT reduction in 32 nm technology node. 展开更多
关键词 erbium ion implantation high-k/metal-gate equivalent oxide thickness fiat band voltage interfacial layer crystallization
原文传递
28nm高K金属栅技术平台光阻回刻工艺中提高刻蚀工艺稳定性的方法研究
8
作者 吕煜坤 王宇威 唐在峰 《集成电路应用》 2024年第6期66-69,共4页
阐述在28nm高K金属栅(28HKMG)技术平台中解决NMOS/PMOS区域栅极阻挡层高度差的主要方案,是在金属栅极制造工艺前插入光阻回刻系列工艺流程,使NMOS区域与PMOS区域的栅极高度获得一致。但该方案在实际量产中的主要难点是第二道回刻工艺(Et... 阐述在28nm高K金属栅(28HKMG)技术平台中解决NMOS/PMOS区域栅极阻挡层高度差的主要方案,是在金属栅极制造工艺前插入光阻回刻系列工艺流程,使NMOS区域与PMOS区域的栅极高度获得一致。但该方案在实际量产中的主要难点是第二道回刻工艺(Etch Back2,即EB2)不稳定,腔体的刻蚀速率与面内分布随着作业时间的增加会出现明显的趋势变化,导致产品端用于表征刻蚀结果的量测参数“牛角高度”(Spacer1二氧化硅保护层高度与栅极高度之间的高度差)在片内具有较高波动性,易造成缺陷,无法安全生产。为此,详细探讨28HKMG平台EB2刻蚀工艺不稳定的原因,并针对实际量产过程中发生的异常,给出切实可行的解决方案,有利于维护腔体微环境稳定,提高产品质量。 展开更多
关键词 集成电路制造 28nm k金属栅 光阻回刻 工艺稳定性
下载PDF
Key technologies for dual high-k and dual metal gate integration
9
作者 Yong-Liang Li Qiu-Xia Xu@ and Wen-Wu Wang 《Chinese Physics B》 SCIE EI CAS CSCD 2018年第9期529-534,共6页
The key technologies for the dual high-k and dual metal gate, such as the electrical optimization of metal insert poly-Si stack structure, the separating of high-k and metal gate of n/pMOS in different regions of the ... The key technologies for the dual high-k and dual metal gate, such as the electrical optimization of metal insert poly-Si stack structure, the separating of high-k and metal gate of n/pMOS in different regions of the wafer, and the synchronous etching of n/pMOS gate stack, are successfully developed. First, reasonable flat-band voltage and equivalent oxide thickness of pMOS MIPS structure are obtained by further optimizing the HfSiAlON dielectric through incorporating more Al-O dipole at interface between HfSiAlON and bottom SiOx. Then, the separating of high-k and metal gate for n/pMOS is achieved by SC1(NH4OH:H2O2:H2O = 1 : 1 : 5) and DHF-based solution for the selective removing of n MOS TaN and Hf Si ON and by BCl3-based plasma and DHF-based solution for the selective removing of pMOS TaN/Mo and HfSiAlON.After that, the synchronous etching of n/pMOS gate stack is developed by utilizing optimized BCl3/SF6/O2/Ar plasma to obtain a vertical profile for TaN and TaN/Mo and by utilizing BCl3/Ar plasma combined with DHF-based solution to achieve high selectivity to Si substrate. Finally, good electrical characteristics of CMOS devices, obtained by utilizing these new developed technologies, further confirm that they are practicable technologies for DHDMG integration. 展开更多
关键词 high-k metal gate metal insert poly-Si stack(MIPS) dual high-k and dual metal gate(DHDMG)
下载PDF
Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-k metal gate NMOSFET with kMC TDDB simulations
10
作者 徐昊 杨红 +11 位作者 罗维春 徐烨峰 王艳蓉 唐波 王文武 祁路伟 李俊峰 闫江 朱慧珑 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期347-351,共5页
The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper.Based on experimental results,i... The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is investigated in this paper.Based on experimental results,it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer.From the charge pumping measurement and secondary ion mass spectroscopy(SIMS) analysis,it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density.In addition,the influences of interface and bulk trap density ratio Nit/Not are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo(kMC) method.The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. 展开更多
关键词 high-k metal gate TiN capping layer TDDB interface trap density
下载PDF
Temperature- and voltage-dependent trap generation model in high-k metal gate MOS device with percolation simulation
11
作者 徐昊 杨红 +7 位作者 王艳蓉 王文武 罗维春 祁路伟 李俊峰 赵超 陈大鹏 叶甜春 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期352-356,共5页
High-k metal gate stacks are being used to suppress the gate leakage due to tunneling for sub-45 nm technology nodes.The reliability of thin dielectric films becomes a limitation to device manufacturing,especially to ... High-k metal gate stacks are being used to suppress the gate leakage due to tunneling for sub-45 nm technology nodes.The reliability of thin dielectric films becomes a limitation to device manufacturing,especially to the breakdown characteristic.In this work,a breakdown simulator based on a percolation model and the kinetic Monte Carlo method is set up,and the intrinsic relation between time to breakdown and trap generation rate R is studied by TDDB simulation.It is found that all degradation factors,such as trap generation rate time exponent m,Weibull slope β and percolation factor s,each could be expressed as a function of trap density time exponent α.Based on the percolation relation and power law lifetime projection,a temperature related trap generation model is proposed.The validity of this model is confirmed by comparing with experiment results.For other device and material conditions,the percolation relation provides a new way to study the relationship between trap generation and lifetime projection. 展开更多
关键词 high-k metal gate TDDB percolation theory kinetic Monte Carlo trap generation model
下载PDF
小尺寸器件的金属栅平坦化新技术
12
作者 赵治国 殷华湘 +6 位作者 朱慧珑 张永奎 张严波 秦长亮 张青竹 张月 赵超 《真空科学与技术学报》 EI CAS CSCD 北大核心 2016年第9期1030-1033,共4页
随着高k金属栅工程在45 nm技术节点上的成功应用,该技术已成为亚30 nm以下技术节点不可缺少的关键模块化工程。同时,如何保证高k金属栅能够在集成过程中得到有效的平坦化,保证器件正常性能也成为了金属后栅工艺的关键技术之一。本文提... 随着高k金属栅工程在45 nm技术节点上的成功应用,该技术已成为亚30 nm以下技术节点不可缺少的关键模块化工程。同时,如何保证高k金属栅能够在集成过程中得到有效的平坦化,保证器件正常性能也成为了金属后栅工艺的关键技术之一。本文提出的的金属栅反应离子刻蚀+介质再沉积+化学机械平坦化的技术,能够有效对金属栅极进行平坦化,且能避免金属栅极平坦化过程中较大面积区域的"金属过蚀"现象。 展开更多
关键词 k金属栅 反应离子刻蚀 介质再沉积 化学机械平坦化
下载PDF
28nm HKMG技术中镍硅化物异常生长引发的失效
13
作者 方精训 姜兰 《半导体技术》 CAS 北大核心 2024年第9期838-843,共6页
针对28nm高介电常数金属栅(HKMG)技术研发初期出现的镍硅化物异常导致的失效进行了深入探究。发现第二道镍硅化物激光退火工艺对产品良率有重要影响。对裸晶内失效位置进行透射电子显微镜(TEM)检测,结果表明失效区域均为PMOS器件的SiGe... 针对28nm高介电常数金属栅(HKMG)技术研发初期出现的镍硅化物异常导致的失效进行了深入探究。发现第二道镍硅化物激光退火工艺对产品良率有重要影响。对裸晶内失效位置进行透射电子显微镜(TEM)检测,结果表明失效区域均为PMOS器件的SiGe区域。这意味着在相同的热预算条件下,PMOS的工艺窗口相较于NMOS会更狭窄。结合激光退火工艺特性,在首次扫描过程中,受降温阶段的影响,晶圆特定区域会累积额外热量,使得该区域热预算异常升高,镍硅化物产生异常,导致产品良率损失;当激光退火温度降低40℃,镍硅化物缺陷问题得以成功解决,产品良率也得到明显提升。 展开更多
关键词 镍硅化物 良率 激光退火 热预算 高介电常数金属栅(HkMG)
下载PDF
蚀刻设备的现状与发展趋势 被引量:2
14
作者 童志义 《电子工业专用设备》 2008年第6期3-9,共7页
概述了蚀刻技术与设备的现状,针对32nm技术节点器件制程对蚀刻设备在双重图形蚀刻、高k/金属栅材料、金属硬掩膜及进入后摩尔时代三维封装的通孔硅技术(TSV)方面挑战,介绍了蚀刻设备的发展趋势。
关键词 蚀刻设备 32nm节点 双重图形蚀刻 k/金属栅材料 金属硬掩膜 通孔硅技术
下载PDF
Defectivity control of aluminum chemical mechanical planarization in replacement metal gate process of MOSFET 被引量:1
15
作者 张金 刘玉岭 +2 位作者 闫辰奇 何彦刚 高宝红 《Journal of Semiconductors》 EI CAS CSCD 2016年第4期120-124,共5页
The replacement metal gate(RMG) defectivity performance control is very challenging in high-k metal gate(HKMG) chemical mechanical polishing(CMP). In this study, three major defect types, including fall-on parti... The replacement metal gate(RMG) defectivity performance control is very challenging in high-k metal gate(HKMG) chemical mechanical polishing(CMP). In this study, three major defect types, including fall-on particles, micro-scratch and corrosion have been investigated. The research studied the effects of polishing pad,pressure, rotating speed, flow rate and post-CMP cleaning on the three kinds of defect, which finally eliminated the defects and achieved good surface morphology. This study will provide an important reference value for the future research of aluminum metal gate CMP. 展开更多
关键词 chemical mechanical planarization(CMP) high-k metal gate(HkMG) defectivity control surface morphology
原文传递
磨料粒径对铝栅CMP去除速率和粗糙度的影响 被引量:1
16
作者 张金 刘玉岭 +1 位作者 闫辰奇 张文霞 《电镀与精饰》 CAS 北大核心 2017年第1期29-31,39,共4页
在铝栅化学机械平坦化(CMP)中磨料直接影响去除速率和表面粗糙度。采用不同粒径的磨料配置抛光液对铝栅进行CMP实验,对去除速率和表面形貌测试结果进行分析。结果表明,去除速率与参与抛光的磨料颗粒数目和单个颗粒去除速率有关,表面粗... 在铝栅化学机械平坦化(CMP)中磨料直接影响去除速率和表面粗糙度。采用不同粒径的磨料配置抛光液对铝栅进行CMP实验,对去除速率和表面形貌测试结果进行分析。结果表明,去除速率与参与抛光的磨料颗粒数目和单个颗粒去除速率有关,表面粗糙度与单个磨料颗粒机械作用和抛光后磨料颗粒表面吸附有关,并对抛光液稳定性进行了研究。最终选用粒径70 nm,质量分数为5%的磨料,去除速率可达到181 nm/min,表面粗糙度为9.1 nm,对今后铝栅CMP的研究提供了参考。 展开更多
关键词 化学机械平坦化 去除速率 粒径 粗糙度 k金属栅极
下载PDF
高k金属栅NMOSFET器件阈值电压调控方法
17
作者 刘城 王爱记 +2 位作者 刘自瑞 刘建强 毛海央 《微纳电子技术》 北大核心 2019年第1期13-19,25,共8页
实现对器件阈值电压的有效调控是高k金属栅(HKMG)技术面临的一项重要挑战。TiAl薄膜作为n型金属氧化物半导体场效应晶体管(NMOSFET)的功函数层被广泛地应用于HKMG结构中以实现对器件阈值电压的调控。实验采用射频(RF)-直流(DC)磁控溅射... 实现对器件阈值电压的有效调控是高k金属栅(HKMG)技术面临的一项重要挑战。TiAl薄膜作为n型金属氧化物半导体场效应晶体管(NMOSFET)的功函数层被广泛地应用于HKMG结构中以实现对器件阈值电压的调控。实验采用射频(RF)-直流(DC)磁控溅射的方式沉积TiAl薄膜,通过优化直流功率、射频功率和反应压强工艺参数,实现了对薄膜Ti/Al原子比率的调节,提高了Ti/Al原子比率分布均匀度。基于实验结果,采用后栅工艺流程制造HKMG NMOSFET,讨论不同的Ti/Al原子比率和TiAl层厚度对NMOSFET阈值电压的影响。Ti/Al原子比率增大10%,NMOSFET的阈值电压增加12.6%;TiAl层厚度增加2 nm,NMOSFET的阈值电压下降19.5%。这种方法已经被成功应用于HKMG器件的生产。 展开更多
关键词 k金属栅(HkMG) 功函数层 磁控溅射 Ti/Al原子比率 阈值电压 n型金属氧化物半导体场效应晶体管(NMOSFET)
下载PDF
Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process
18
作者 任尚清 杨红 +12 位作者 唐波 徐昊 罗维春 唐兆云 徐烨锋 许静 王大海 李俊峰 闫江 赵超 陈大鹏 叶甜春 王文武 《Journal of Semiconductors》 EI CAS CSCD 2015年第1期86-89,共4页
Positive bias temperature instability(PBTI) characteristics and degradation mechanisms of NMOSFET with high-k/metal gate last process have been systematically investigated. The time evolution of threshold voltage sh... Positive bias temperature instability(PBTI) characteristics and degradation mechanisms of NMOSFET with high-k/metal gate last process have been systematically investigated. The time evolution of threshold voltage shift during PBTI stress still follows a power law. However, the exponent n decreases from 0.26 to 0.16 linearly as the gate stress voltage increases from 0.6 to 1.2 V. There is no interface state generation during stress because of the negligible sub-threshold swing change. Moreover, the activation energy is 0.1 e V, which implies that electrons directly tunnel into high-k bulk and are trapped by pre-existing traps resulting into PBTI degradation. During recovery the threshold voltage shift is linear in lgt, and a mathematical model is proposed to express threshold voltage shift. 展开更多
关键词 positive bias temperature instability(PBTI) high-k metal gate
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部