期刊文献+

DSTN功率门控电路休眠晶体管尺寸优化方法 被引量:1

The Sleep Transistor Sizing in DSTN Power Gating Circuits
下载PDF
导出
摘要 针对分布式休眠晶体管网络功率门控结构中休眠晶体管尺寸优化问题,提出一种新型的最大瞬时电流(MIC)的估算技术.首先提取电路中标准单元的相关参数,利用解析式进行单元MIC的计算,再通过处理单元的时序信息和布图信息进行电路分簇的MIC计算,可使获得的MIC约束更紧、运算速度更快;根据获得的电路MIC信息,应用启发式算法,通过引入λ因子的启发式算法和模拟退火算法分别对休眠晶体管尺寸进行了优化.优化结果显示,采用文中的技术可使休眠晶体管的面积冗余降低到1%以下,并可以缩短整个优化过程.SPICE仿真验证结果表明,将休眠晶体管插入电路后,虚拟地线上的电压降完全满足小于5%Vdd的设计约束. A novel technique is proposed to estimate the maximum instantaneous current (MIC) in distributed sleep transistor network (DSTN) power gating circuits. Firstly, the parameters of the standard cells in the circuits are extracted and the MICs of the cells are calculated. Then the timing and placement information of the cells are processed to estimate the MICs of the clusters in the circuit. Through this proposed method the obtained MIC result is tighter and the runtime is reduced. With the estimated MICs, annealing algorithm is firstly used to do sleep transistor sizing, as well as heuristic algorithm and heuristic algorithm with 2. Experimental results show that the average area overhead can be reduced to less than 1%. Finally, we verify sleep transistor sizing results by SPICE simulations and voltage drop on the virtual ground line meets well with the constraint of 5 % Vdd.
出处 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2012年第10期1375-1384,共10页 Journal of Computer-Aided Design & Computer Graphics
关键词 功率门控 休眠晶体管 最大瞬时电流 启发式算法 模拟退火算法 power gating sleep transistor maximum instantaneous current heuristic algorithm stimulated annealing algorithm
  • 相关文献

参考文献21

  • 1Chang H L, Sapatnekar S S. Full-chip analysis of leakage power under process variations, including spatial correlations [C] //Proceedings of the 42nd Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2005:523-528. 被引量:1
  • 2李诗勤.超深亚微米数字电路的低功耗设计[J].中国集成电路,2011,20(5):25-30. 被引量:1
  • 3Roy K, Mukhopadhyay S, Mahmoodi H M. Leakage current mechanisms and leakage reduction techniques in deep- submicrometer CMOS circuits[J]. Proceedings of the IEEE, 2003, 91(2): 305-327. 被引量:1
  • 4Khandelwal V, Srivastava A. Leakage control through fine-grained placement and sizing of sleep transistors [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2007, 26(7)~ 1246-1255. 被引量:1
  • 5徐勇军,韩银和,骆祖莹,李晓维.基于遗传算法的最大开启电流估计[J].计算机学报,2004,27(2):186-191. 被引量:2
  • 6Kao J, Narendra S, Chandrakasan A. MTCMOS hierarchical sizing based on mutual exclusive discharge patterns [C]// Proceedings of the 35th Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 1998:495-500. 被引量:1
  • 7Long C B, He L. Distributed ST network for power reduction [C] //Proceedings of the 40th Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2003:181-186. 被引量:1
  • 8Ramalingam A, Zhang B, Pan D Z, et al. Sleep transistor sizing using timing criticality and temporal currents [C]// Proceedings of the 10th Asia and South Pacific Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2005, 2:1094-1097. 被引量:1
  • 9Chiou D S, Juan D C, Chen Y T. Fine-grained sleep transistor sizing algorithm for leakage power minimization [C]//Proceedings of the 44th Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2007:81-86. 被引量:1
  • 10Anis M, Areibi S, Mahmoud M, et al. Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique [C]//Proceedings of the 39th Design Automation Conference. Los Alamitos: IEEE Computer Society Press, 2002 : 480-485. 被引量:1

二级参考文献30

  • 1Kimiyoshi Usami, "Overview on Low Power SOC Design Technology", Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Page ( s ) : 634-636, January 2008. 被引量:1
  • 2Sakurai, Takayasu, "Low Power digital Circuit Design", ESSCIRC 2004 - Proceedings of the 30th European Solid-State Circuits Conference, Page ( s ) : 11-18, September 2004. 被引量:1
  • 3Hu Jian, and Shen Xubang, "The design methodology and practice of low power SOC", Proceedings of the 2008 International Conference on Embedded Software and Systems Symposia, ICESS Symposia, Page ( s ) : 185-190, July 2008. 被引量:1
  • 4Bernier C., De Foucauld E., Robinet S., Lattard D., Durupt J., Dehmas F., Ouvry L., and Vincent P., "An ultra low power SoC for 2.4GHz IEEE802.15.4 wireless communications", Proceedings of the 34th European Solid-State Circuits Conference, Page ( s ) : 426-429, September 2008. 被引量:1
  • 5Lee Kangmin, Lee Se-Joong and Yoo Hoi-Jun, "Low-power network-on-chip for high-performance SOC design", IEEE Transactions on Very Large Scale Integration ( VLSI ) Systems, v 14, n 2, Page ( s ) 148-160, February 2006. 被引量:1
  • 6Lazorenko Dmytro I., an.d Chemeris Alexander A., "Low-power issues for SoC", 2006 IEEE 10th International Symposium on Consumer Eleetronies, ISCE 2006, Page ( s ) : 573-575, June 2006. 被引量:1
  • 7Chen R., Liu R., and Kuo J.B., "Gate-level dual-threshold total power optimization methodology (GDTPOM) principle for designing high-speed low-power SOC applications", 2008 9th International Conference on Solid-State and Integrated-Circuit Technology Proceedings, Page ( s ) :2164-2167, October 2008. 被引量:1
  • 8Mahmoodi Hamid, TirumalashettyVishy, Cooke Matthew, Roy Kaushik, "Ultra low-power clocking scheme using energy recovery and clock gating", IEEE Transactions on Very Large Scale Integration ( VLSI ) Systems, v 17, n 1, Page ( s ) :33-44, January 2009. 被引量:1
  • 9Chen Huang-Liang, and Chen Hung-Ming, "On achieving low-power SoC clock tree synthesis by transition time planning via buffer library study", 2006 IEEE International Systems-on-Chip Conference, SOC, Page ( s ) : 203-206, September 2007. 被引量:1
  • 10Hattori Toshihiro, "Challenges for low-power embedded SOC's", Transactions on Electronies, Proceedings of Technical Papers, 2007 International Symposium on VLSI Design, Automation and Test, April 2007. 被引量:1

共引文献1

同被引文献1

引证文献1

相关作者

内容加载中请稍等...

相关机构

内容加载中请稍等...

相关主题

内容加载中请稍等...

浏览历史

内容加载中请稍等...
;
使用帮助 返回顶部